Show simple item record

dc.contributor.advisorFu, Xin
dc.contributor.authorLi, Zhi
dc.date.accessioned2013-07-14T15:44:36Z
dc.date.available2013-07-14T15:44:36Z
dc.date.issued2013-05-31
dc.date.submitted2013
dc.identifier.otherhttp://dissertations.umi.com/ku:12738
dc.identifier.urihttp://hdl.handle.net/1808/11465
dc.description.abstractModern graphics processing units (GPUs) supports tens of thousands of parallel threads and delivers remarkably high computing throughput. General-Purpose computing on GPUs (GPGPUs) is becoming the attractive platform for general-purpose applications that request high computational performance such as scientific computing, financial applications, medical data processing, and so on. However, GPGPUs is facing severe power challenge due to the increasing number of cores placed on a single chip with decreasing feature size. In order to explore the power optimization techniques in GPGPUs, I first build a power model for GPGPUs, which is able to estimate both dynamic and leakage power of major microarchitecture structures in GPGPUs. I then target on the power-hungry structures (e.g. register file) to explore the energy-efficient GPGPUs. In order to hide the long latency operations, GPGPUs employs the fine-grained multi-threading among numerous active threads, leading to the sizeable register files with massive power consumption. The conventional method to reduce dynamic power consumption is the supply voltage scaling. And the inter-bank tunneling FETs (TFETs) is the promising candidate compared to CMOS for low voltage operations regarding to both leakage and performance. However, always executing at the low voltage will result in significant performance degradation. In this study, I propose the hybrid CMOS-TFET based register file and allocate TFET-based registers to threads whose execution progress can be delayed to some degree to avoid the memory contentions with other threads to reduce both dynamic and leakage power, and the CMOS-based registers are still used for threads requiring normal execution speed. My experimental results show that the proposed technique achieves 30% energy (including both dynamic and leakage) reduction in register files with negligible performance degradation compared to the baseline case equipped with naive power optimization technique.
dc.format.extent56 pages
dc.language.isoen
dc.publisherUniversity of Kansas
dc.rightsThis item is protected by copyright and unless otherwise specified the copyright of this thesis/dissertation is held by the author.
dc.subjectComputer engineering
dc.subjectGeneral-purpose computing on graphics processing units
dc.subjectMemory contention
dc.subjectRegister file
dc.subjectTunneling field effect transistors
dc.titlePower Modeling and Optimization for GPGPUs
dc.typeThesis
dc.contributor.cmtememberFu, Xin
dc.contributor.cmtememberMinden, Gary J.
dc.contributor.cmtememberKulkarni, Prasad
dc.thesis.degreeDisciplineElectrical Engineering & Computer Science
dc.thesis.degreeLevelM.E.
kusw.oastatusna
kusw.oapolicyThis item does not meet KU Open Access policy criteria.
kusw.bibid8085705
dc.rights.accessrightsopenAccess


Files in this item

Thumbnail

This item appears in the following Collection(s)

Show simple item record